Jump to content

thedon

Members
  • Posts

    10
  • Joined

  • Last visited

Everything posted by thedon

  1. I have just followed the wiring given in the wiki, https://github.com/nopnop2002/ili9325_rpi so even I am wondering what could be wrong;
  2. I have checked the wiring multiple times; I have wired it exactly as you have suggested on the wiki; its not 16 bit parallel, I am very sure, the board exactly looks same as on the video on this post; the board works well with arduino uno by the way; and from the lcd_id output its value is "ab ab ab ab"? what does that mean?
  3. I was able to compile and run it;; given below is the output; I can see many ILIXXXX values; what should I consider?
  4. @nopnop2002 or @pfeerick - please help out if possible folks;
  5. I got past the error [ISP] isp platform_id = 5![CSI_ERR][GC2035]sensor_read err at sensor_detect![CSI_ERR][GC2035]chip found is not an target chip. following is seen in dmesg output now [ 7.787818] [CSI][GC2035]V4L2_IDENT_SENSOR=2035 [ 8.210055] [CSI][GC2035]disalbe oe! but when I try capturing an image from the camera from a python script using pyimage, it crashes with the following error [ 2173.632135] [CSI][GC2035]enable oe! [ 2173.632583] [CSI][GC2035]V4L2_IDENT_SENSOR=2035 [ 2174.125239] [VFE_ERR]try rgb888 bus error when pix fmt is rgb888/prgb888 at try_fmt_internal! [ 2174.134937] [VFE_ERR]pixel format (0x33424752) width 640 height 480 invalid at vidioc_s_fmt_vid_cap. [ 2174.545886] [VFE_ERR]buffer count is invalid, set to 3 in video capture tried fswebcam also and same error occurs there as well; Have any of you encountered it before and can help?
  6. Any update? was this issue resolved? even I am getting the following [VFE_ERR]input index(-1) > dev->dev_qty(1)-1 invalid!
  7. I am not able to run lcd_id; its not compiling; first it complained about wiringPi so I got your WiringOP project and compiled it and tried "gpio readall" and I have attached the output it gives if that could be of any use; When I try to compile lcd_id.c I get the following error so not able to run lcd_id also; not sure how to move ahead :-( root@orangepipc:~/Desktop/lcd_id# cc -o lcd_id lcd_id.c -lwiringPi //usr/local/lib/libwiringPi.so: undefined reference to `pthread_join' //usr/local/lib/libwiringPi.so: undefined reference to `pthread_create' //usr/local/lib/libwiringPi.so: undefined reference to `pthread_cancel' collect2: error: ld returned 1 exit status BTW, I have tried this both on an orangepi pc and orangepi one;
  8. Does not work for me; it is definitely ILI9341; ili9341 is printed on the board also; I just get a white screen; no errors on the modprobes; just that nothing goes to the screen;
  9. I get what you are saying but I will wait for the post author to respond since the lcd module he has shown in the first video is same as what I have except that it has a ili9341 chipset; the pins are exactly same and then someone has asked about ili9341 and he gave some specific wiring changes so I have mentioned the board and the pins it has so that there is no confusion;
  10. Great tutorial buddy; I am using a ILI9341 but I dont see any MOSI/MISO/SCK pins on it; also what is LED pin? is there a complete wiring guide? I am trying to hookup to orangepi one and have not seen any success till now; my lcd module is similar to what you have used but it has ILI9341 written on back; Please help and share a wiring diagram; following are the pins my LCD module has (LCD_RST, LCD_CS, LCD_RS, LCD_WR, LCD_RD, GND, 5V, 3V3, LCD_D0, LCD_D1, LCD_D2, LCD_D3, LCD_D4, LCD_D5, LCD_D6, LCD_D7, SD_SS, SD_DI, SD_D0, SD_SCK); I want to use only the display to show camera stream, nothing else is needed, no need of touch or sd card capability, just need to show the camera video stream on the display; please help;
×
×
  • Create New...

Important Information

Terms of Use - Privacy Policy - Guidelines